Default Cover Image

Computer-Aided Design, International Conference on

Nov. 7 2011 to Nov. 10 2011

San Jose, CA, USA

ISBN: 978-1-4577-1399-6

Table of Contents

Papers
Front matterFreely available from IEEE.pp. 1-2
Papers
Executive committeeFreely available from IEEE.pp. 1-7
Papers
ForewordFreely available from IEEE.pp. 1
Papers
AwardsFreely available from IEEE.pp. 1
Papers
PanelsFreely available from IEEE.pp. 1
Papers
Table of contentsFreely available from IEEE.pp. 1-12
Papers
Author indexFreely available from IEEE.pp. 1-10
Papers
Copyright pageFreely available from IEEE.pp. 1
Papers
Layout decomposition for triple patterning lithographyFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Papers
Optimal layout decomposition for double patterning technologyFull-text access may be available. Sign in or learn about subscription options.pp. 9-13
Papers
A framework for double patterning-enabled designFull-text access may be available. Sign in or learn about subscription options.pp. 14-20
Papers
Unequal-error-protection codes in SRAMs for mobile multimedia applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 21-27
Papers
Detecting stability faults in sub-threshold SRAMsFull-text access may be available. Sign in or learn about subscription options.pp. 28-33
Papers
Pseudo-functional testing for small delay defects considering power supply noise effectsFull-text access may be available. Sign in or learn about subscription options.pp. 34-39
Papers
Bandwidth-aware reconfigurable cache design with hybrid memory technologiesFull-text access may be available. Sign in or learn about subscription options.pp. 48-55
Papers
Feedback control based cache reliability enhancement for emerging multicoresFull-text access may be available. Sign in or learn about subscription options.pp. 56-62
Papers
GPU programming for EDA with OpenCLFull-text access may be available. Sign in or learn about subscription options.pp. 63-66
Papers
A SimPLR method for routability-driven placementFull-text access may be available. Sign in or learn about subscription options.pp. 67-73
Papers
Ripple: An effective routability-driven placer by iterative cell movementFull-text access may be available. Sign in or learn about subscription options.pp. 74-79
Papers
Routability-driven analytical placement for mixed-size circuit designsFull-text access may be available. Sign in or learn about subscription options.pp. 80-84
Papers
PRICE: Power reduction by placement and clock-network co-synthesis for pulsed-latch designsFull-text access may be available. Sign in or learn about subscription options.pp. 85-90
Papers
Efficient analytical macromodeling of large analog circuits by Transfer Function TrajectoriesFull-text access may be available. Sign in or learn about subscription options.pp. 91-94
Papers
Optimal statistical chip dispositionFull-text access may be available. Sign in or learn about subscription options.pp. 95-102
Papers
Temperature aware statistical static timing analysisFull-text access may be available. Sign in or learn about subscription options.pp. 103-110
Papers
Fast statistical timing analysis for circuits with Post-Silicon Tunable clock buffersFull-text access may be available. Sign in or learn about subscription options.pp. 111-117
Papers
Improving shared cache behavior of multithreaded object-oriented applications in multicoresFull-text access may be available. Sign in or learn about subscription options.pp. 118-125
Papers
CIPARSim: Cache intersection property assisted rapid single-pass FIFO cache simulation techniqueFull-text access may be available. Sign in or learn about subscription options.pp. 126-133
Papers
Cooperative parallelizationFull-text access may be available. Sign in or learn about subscription options.pp. 134-141
Papers
Optimizing data locality using array tilingFull-text access may be available. Sign in or learn about subscription options.pp. 142-149
Papers
Assuring application-level correctness against soft errorsFull-text access may be available. Sign in or learn about subscription options.pp. 150-157
Papers
The role of EDA in digital print automation and infrastructure optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 158-161
Papers
Toward efficient spatial variation decomposition via sparse regressionFull-text access may be available. Sign in or learn about subscription options.pp. 162-169
Papers
Accelerating aerial image simulation with GPUFull-text access may be available. Sign in or learn about subscription options.pp. 178-184
Papers
Combined loop transformation and hierarchy allocation for data reuse optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 185-192
Papers
High-level synthesis with distributed controller for fast timing closureFull-text access may be available. Sign in or learn about subscription options.pp. 193-199
Papers
Synthesis of parallel binary machinesFull-text access may be available. Sign in or learn about subscription options.pp. 200-206
Papers
Chemical-mechanical polishing aware application-specific 3D NoC designFull-text access may be available. Sign in or learn about subscription options.pp. 207-212
Papers
Application-aware deadlock-free oblivious routing based on extended turn-modelFull-text access may be available. Sign in or learn about subscription options.pp. 213-218
Papers
Co-design of channel buffers and crossbar organizations in NoCs architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 219-226
Papers
Alternative design methodologies for the next generation logic switchFull-text access may be available. Sign in or learn about subscription options.pp. 231-234
Papers
Progress and outlook for STT-MRAMFull-text access may be available. Sign in or learn about subscription options.pp. 235
Papers
Universal statistical cure for predicting memory lossFull-text access may be available. Sign in or learn about subscription options.pp. 236-239
Papers
Progress in CMOS-memristor integrationFull-text access may be available. Sign in or learn about subscription options.pp. 246-249
Papers
MGR: Multi-level global routerFull-text access may be available. Sign in or learn about subscription options.pp. 250-255
Papers
Congestion analysis for global routing via integer programmingFull-text access may be available. Sign in or learn about subscription options.pp. 256-262
Papers
High-quality global routing for multiple dynamic supply voltage designsFull-text access may be available. Sign in or learn about subscription options.pp. 263-269
Papers
The future of clock network synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 270
Papers
Myth busters: Microprocessor clocking is from Mars, ASICs clocking is from VenusFull-text access may be available. Sign in or learn about subscription options.pp. 271-275
Papers
Clocking design automation in Intel's Core i7 and future designsFull-text access may be available. Sign in or learn about subscription options.pp. 276-278
Papers
Algorithmic tuning of clock trees and derived non-tree structuresFull-text access may be available. Sign in or learn about subscription options.pp. 279-282
Papers
Doppler: DPL-aware and OPC-friendly gridless detailed routing with mask density balancingFull-text access may be available. Sign in or learn about subscription options.pp. 283-289
Papers
A jumper insertion algorithm under antenna ratio and timing constraintsFull-text access may be available. Sign in or learn about subscription options.pp. 290-297
Papers
Exploring high throughput computing paradigm for global routingFull-text access may be available. Sign in or learn about subscription options.pp. 298-305
Papers
Escape routing for staggered-pin-array PCBsFull-text access may be available. Sign in or learn about subscription options.pp. 306-309
Papers
Modeling the computational efficiency of 2-D and 3-D silicon processors for early-chip planningFull-text access may be available. Sign in or learn about subscription options.pp. 310-317
Papers
The STeTSiMS STT-RAM simulation and modeling systemFull-text access may be available. Sign in or learn about subscription options.pp. 318-325
Papers
Neuromorphic modeling abstractions and simulation of large-scale cortical networksFull-text access may be available. Sign in or learn about subscription options.pp. 334-338
Papers
A framework for accelerating neuromorphic-vision algorithms on FPGAsFull-text access may be available. Sign in or learn about subscription options.pp. 810-813
Papers
A heterogeneous accelerator platform for multi-subject voxel-based brain network analysisFull-text access may be available. Sign in or learn about subscription options.pp. 339-344
Papers
Fast statistical model of TiOFull-text access may be available. Sign in or learn about subscription options.pp. 345-352
Papers
Accelerated statistical simulation via on-demand Hermite spline interpolationsFull-text access may be available. Sign in or learn about subscription options.pp. 353-360
Papers
Structure preserving reduced-order modeling of linear periodic time-varying systemsFull-text access may be available. Sign in or learn about subscription options.pp. 361-366
Papers
ModSpec: An open, flexible specification framework for multi-domain device modellingFull-text access may be available. Sign in or learn about subscription options.pp. 367-374
Papers
Delay optimization using SOP balancingFull-text access may be available. Sign in or learn about subscription options.pp. 375-382
Papers
Match and replace -- A functional ECO engine for multi-error circuit rectificationFull-text access may be available. Sign in or learn about subscription options.pp. 383-388
Papers
Towards completely automatic decoder synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 389-395
Papers
On rewiring and simplification for canonicity in threshold logic circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 396-403
Papers
Inferring assertion for complementary synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 404-411
Papers
Statistical aging analysis with process variation considerationFull-text access may be available. Sign in or learn about subscription options.pp. 412-419
Papers
A new method for multiparameter robust stability distribution analysis of linear analog circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 420-427
Papers
Failure diagnosis of asymmetric aging under NBTIFull-text access may be available. Sign in or learn about subscription options.pp. 428-433
Papers
In-system and on-the-fly clock tuning mechanism to combat lifetime performance degradationFull-text access may be available. Sign in or learn about subscription options.pp. 434-441
Papers
Online clock skew tuning for timing speculationFull-text access may be available. Sign in or learn about subscription options.pp. 442-447
Papers
Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochipsFull-text access may be available. Sign in or learn about subscription options.pp. 448-455
Papers
Defect-tolerant logic implementation onto nanocrossbars by exploiting mapping and morphing simultaneouslyFull-text access may be available. Sign in or learn about subscription options.pp. 456-462
Papers
Device-architecture co-optimization of STT-RAM based memory for low power embedded systemsFull-text access may be available. Sign in or learn about subscription options.pp. 463-470
Papers
STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design viewFull-text access may be available. Sign in or learn about subscription options.pp. 471-477
Papers
2011 TAU power grid simulation contest: Benchmark suite and resultsFull-text access may be available. Sign in or learn about subscription options.pp. 478-481
Papers
PowerRush: A linear simulator for power gridFull-text access may be available. Sign in or learn about subscription options.pp. 482-487
Papers
Fast static analysis of power grids: Algorithms and implementationsFull-text access may be available. Sign in or learn about subscription options.pp. 488-493
Papers
On the preconditioner of conjugate gradient method -- A power grid simulation perspectiveFull-text access may be available. Sign in or learn about subscription options.pp. 494-497
Papers
PTrace: Derivative-free local tracing of bicriterial design tradeoffsFull-text access may be available. Sign in or learn about subscription options.pp. 498-502
Papers
A methodology for local resonant clock synthesis using LC-assisted local clock buffersFull-text access may be available. Sign in or learn about subscription options.pp. 503-506
Papers
A corner stitching compliant BFull-text access may be available. Sign in or learn about subscription options.pp. 507-511
Papers
Heterogeneous BFull-text access may be available. Sign in or learn about subscription options.pp. 512-516
Papers
Fast analog layout prototyping for nanometer design migrationFull-text access may be available. Sign in or learn about subscription options.pp. 517-522
Papers
Model order reduction of fully parameterized systems by recursive least square optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 523-530
Papers
Fast poisson solver preconditioned method for robust power grid analysisFull-text access may be available. Sign in or learn about subscription options.pp. 531-536
Papers
Modeling and estimation of power supply noise using linear programmingFull-text access may be available. Sign in or learn about subscription options.pp. 537-542
Papers
Power grid analysis with hierarchical support graphsFull-text access may be available. Sign in or learn about subscription options.pp. 543-547
Papers
Vectorless verification of RLC power grids with transient current constraintsFull-text access may be available. Sign in or learn about subscription options.pp. 548-554
Papers
Electromigration modeling and full-chip reliability analysis for BEOL interconnect in TSV-based 3D ICsFull-text access may be available. Sign in or learn about subscription options.pp. 555-562
Papers
Full-chip through-silicon-via interfacial crack analysis and optimization for 3D ICFull-text access may be available. Sign in or learn about subscription options.pp. 563-570
Papers
Variation-aware electromigration analysis of power/ground networksFull-text access may be available. Sign in or learn about subscription options.pp. 571-576
Showing 100 out of 134