Default Cover Image

ICCAD-2005 International Conference on Computer Aided Design

Nov. 6 2005 to Nov. 10 2005

San Jose, CA, USA

Table of Contents

Session 1A - Memory and arithmetic optimizationsFull-text access may be available. Sign in or learn about subscription options.pp. 1,2
Session 1B - Design manufacturing interactionFull-text access may be available. Sign in or learn about subscription options.pp. 17,18
Session 1C - Detailed placementFull-text access may be available. Sign in or learn about subscription options.pp. 39,40
Session 1D - Digital, analog and RF testFull-text access may be available. Sign in or learn about subscription options.pp. 71,72
Introduction
Title PageFull-text access may be available. Sign in or learn about subscription options.
Introduction
Table of contentsFreely available from IEEE.pp. xix-xxx
Session 1A - Memory and arithmetic optimizations
Storage assignment during high-level synthesis for configurable architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 3-6
Session 1A - Memory and arithmetic optimizations
Performance-driven read-after-write dependencies softening in high-level synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 7-12
Session 1A - Memory and arithmetic optimizations
An exact algorithm for the maximal sharing of partial terms in multiple constant multiplicationsFull-text access may be available. Sign in or learn about subscription options.pp. 13-16
Session 2A - Embedded turorial: design trendsFull-text access may be available. Sign in or learn about subscription options.pp. 109,110
Session 1B - Design manufacturing interaction
FPGA device and architecture evaluation considering process variationsFull-text access may be available. Sign in or learn about subscription options.pp. 19-24
Session 1B - Design manufacturing interaction
Via-configurable routing architectures and fast design mappability estimation for regular fabricsFull-text access may be available. Sign in or learn about subscription options.pp. 25-32
Session 1B - Design manufacturing interaction
SPIDER: simultaneous post-layout IR-drop and metal density enhancement with redundant fillFull-text access may be available. Sign in or learn about subscription options.pp. 33-38
Session 1C - Detailed placement
Computational geometry based placement migrationFull-text access may be available. Sign in or learn about subscription options.pp. 41-47
Session 2B - Physical design for manufacturingFull-text access may be available. Sign in or learn about subscription options.pp. 131,132
Session 1C - Detailed placement
An efficient and effective detailed placement algorithmFull-text access may be available. Sign in or learn about subscription options.pp. 48-55
Session 1C - Detailed placement
Post-placement rewiring and rebuffering by exhaustive search for functional symmetriesFull-text access may be available. Sign in or learn about subscription options.pp. 56-63
Session 1C - Detailed placement
Wirelength optimization by optimal block orientationFull-text access may be available. Sign in or learn about subscription options.pp. 64-70
Session 1D - Digital, analog and RF test
Parametric test development for RF circuits targeting physical fault locations and using specification-based fault definitionsFull-text access may be available. Sign in or learn about subscription options.pp. 73-79
Session 2C - Large-scale layout techniquesFull-text access may be available. Sign in or learn about subscription options.pp. 157,158
Session 1D - Digital, analog and RF test
Response shaper: a novel technique to enhance unknown tolerance for output response compactionFull-text access may be available. Sign in or learn about subscription options.pp. 80-87
Session 1D - Digital, analog and RF test
Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SOCsFull-text access may be available. Sign in or learn about subscription options.pp. 88-93
Session 1D - Digital, analog and RF test
A cocktail approach on random access scan toward low power and high efficiency testFull-text access may be available. Sign in or learn about subscription options.pp. 94-99
Session 1D - Digital, analog and RF test
A statistical study of the effectiveness of BIST jitter measurement techniquesFull-text access may be available. Sign in or learn about subscription options.pp. 100-107
Session 2D - Novel ideas and logic synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 181,182
Session 2A - Embedded turorial: design trends
The circuit design of the synergistic processor element of a CELL processorFull-text access may be available. Sign in or learn about subscription options.pp. 111-117
Session 2A - Embedded turorial: design trends
Adaptive designs for power and thermal optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 118-121
Session 2A - Embedded turorial: design trends
Digital RF processor (DRP/spl trade/) for cellular phonesFull-text access may be available. Sign in or learn about subscription options.pp. 122-129
Session 2B - Physical design for manufacturing
A layout dependent full-chip copper electroplating topography modelFull-text access may be available. Sign in or learn about subscription options.pp. 133-140
Session 2B - Physical design for manufacturing
Interval-valued statistical modeling of oxide chemical-mechanical polishingFull-text access may be available. Sign in or learn about subscription options.pp. 141-148
Session 3A - Embedded tutorial: opportunities and challenges with double-gated devicesFull-text access may be available. Sign in or learn about subscription options.pp. 205,206
Session 2B - Physical design for manufacturing
Fast and efficient phase conflict detection and correction in standard-cell layoutsFull-text access may be available. Sign in or learn about subscription options.pp. 149-156
Session 2C - Large-scale layout techniques
IMF: interconnect-driven multilevel floorplanning for large-scale building-module designsFull-text access may be available. Sign in or learn about subscription options.pp. 159-164
Session 2C - Large-scale layout techniques
Robust mixed-size placement under tight white-space constraintsFull-text access may be available. Sign in or learn about subscription options.pp. 165-172
Session 2C - Large-scale layout techniques
Intrinsic shortest path length: a new, accurate a priori wirelength estimatorFull-text access may be available. Sign in or learn about subscription options.pp. 173-180
Session 2D - Novel ideas and logic synthesis
Synthesis methodology for built-in at-speed testingFull-text access may be available. Sign in or learn about subscription options.pp. 183-188
Session 3B - Routing and application specific NoC architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 229,230
Session 2D - Novel ideas and logic synthesis
Clustering for processing rate optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 189-195
Session 2D - Novel ideas and logic synthesis
ConvexFit: an optimal minimum-error convex fitting and smoothing algorithm with application to gate-sizingFull-text access may be available. Sign in or learn about subscription options.pp. 196-203
Session 3A - Embedded tutorial: opportunities and challenges with double-gated devices
FinFETs for nanoscale CMOS digital integrated circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 207-210
Session 3A - Embedded tutorial: opportunities and challenges with double-gated devices
Physics-based compact modeling for nonclassical CMOSFull-text access may be available. Sign in or learn about subscription options.pp. 211-216
Session 3C - Memory driven code and architecture optimizationsFull-text access may be available. Sign in or learn about subscription options.pp. 261,262
Session 3A - Embedded tutorial: opportunities and challenges with double-gated devices
Double-gate SOI devices for low-power and high-performance applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 217-224
Session 3A - Embedded tutorial: opportunities and challenges with double-gated devices
Thermal simulation techniques for nanoscale transistorsFull-text access may be available. Sign in or learn about subscription options.pp. 225-228
Session 3B - Routing and application specific NoC architectures
An automated technique for topology and route generation of application specific on-chip interconnection networksFull-text access may be available. Sign in or learn about subscription options.pp. 231-237
Session 3B - Routing and application specific NoC architectures
Deadlock-free routing and component placement for irregular mesh-based networks-on-chipFull-text access may be available. Sign in or learn about subscription options.pp. 238-245
Session 3B - Routing and application specific NoC architectures
Application-specific network-on-chip architecture customization via long-range link insertionFull-text access may be available. Sign in or learn about subscription options.pp. 246-253
Session 3D - Exploiting arithmetic constructs in verificationFull-text access may be available. Sign in or learn about subscription options.pp. 283,284
Session 3B - Routing and application specific NoC architectures
NoCEE: energy macro-model extraction methodology for network on chip routersFull-text access may be available. Sign in or learn about subscription options.pp. 254-259
Session 3C - Memory driven code and architecture optimizations
Architecture and compilation for data bandwidth improvement in configurable embedded processorsFull-text access may be available. Sign in or learn about subscription options.pp. 263-270
Session 3C - Memory driven code and architecture optimizations
Code restructuring for improving cache performance of MPSoCsFull-text access may be available. Sign in or learn about subscription options.pp. 271-274
Session 3C - Memory driven code and architecture optimizations
2D data locality: definition, abstraction, and applicationFull-text access may be available. Sign in or learn about subscription options.pp. 275-278
Session 3C - Memory driven code and architecture optimizations
Integrating loop and data optimizations for locality within a constraint network based frameworkFull-text access may be available. Sign in or learn about subscription options.pp. 279-282
Session 3D - Exploiting arithmetic constructs in verification
System level verification of digital signal processing applications based on the polynomial abstraction techniqueFull-text access may be available. Sign in or learn about subscription options.pp. 285-290
Session 4A - Buffers and voltage islandsFull-text access may be available. Sign in or learn about subscription options.pp. 307,308
Session 3D - Exploiting arithmetic constructs in verification
Equivalence verification of polynomial datapaths with fixed-size bit-vectors using finite ring algebraFull-text access may be available. Sign in or learn about subscription options.pp. 291-296
Session 3D - Exploiting arithmetic constructs in verification
RTL SAT simplification by Boolean and interval arithmetic reasoningFull-text access may be available. Sign in or learn about subscription options.pp. 297-302
Session 3D - Exploiting arithmetic constructs in verification
Runtime integrity checking for inter-object connectionsFull-text access may be available. Sign in or learn about subscription options.pp. 303-306
Session 4A - Buffers and voltage islands
Post-placement voltage island generation under performance requirementFull-text access may be available. Sign in or learn about subscription options.pp. 309-316
Session 4B - Sequential circuit optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 327,328
Session 4A - Buffers and voltage islands
Buffer insertion under process variations for delay minimizationFull-text access may be available. Sign in or learn about subscription options.pp. 317-321
Session 4A - Buffers and voltage islands
Efficient algorithms for buffer insertion in general circuits based on network flowFull-text access may be available. Sign in or learn about subscription options.pp. 322-326
Session 4B - Sequential circuit optimization
Trade-off between latch and flop for min-period sequential circuit designs with crosstalkFull-text access may be available. Sign in or learn about subscription options.pp. 329-334
Session 4B - Sequential circuit optimization
Flip-flop insertion with shifted-phase clocks for FPGA power reductionFull-text access may be available. Sign in or learn about subscription options.pp. 335-342
Session 4C - Power grid verificationFull-text access may be available. Sign in or learn about subscription options.pp. 349,350
Session 4B - Sequential circuit optimization
Acyclic modeling of combinational loopsFull-text access may be available. Sign in or learn about subscription options.pp. 343-347
Session 4C - Power grid verification
Fast algorithms for IR drop analysis in large power gridFull-text access may be available. Sign in or learn about subscription options.pp. 351-357
Session 4C - Power grid verification
Incremental partitioning-based vectorless power grid verificationFull-text access may be available. Sign in or learn about subscription options.pp. 358-364
Session 4D - NanoelectronicsFull-text access may be available. Sign in or learn about subscription options.pp. 373,374
Session 4C - Power grid verification
Static timing analysis considering power supply variationsFull-text access may be available. Sign in or learn about subscription options.pp. 365-371
Session 4D - Nanoelectronics
Hybrid CMOS/nanoelectronic digital circuits: devices, architectures, and design automationFull-text access may be available. Sign in or learn about subscription options.pp. 375-382
Session 4D - Nanoelectronics
Performance analysis of carbon nanotube interconnects for VLSI applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 383-390
Session 5A - Variability in designFull-text access may be available. Sign in or learn about subscription options.pp. 391,392
Session 5A - Variability in design
DiCER: distributed and cost-effective redundancy for variation toleranceFull-text access may be available. Sign in or learn about subscription options.pp. 393-397
Session 5A - Variability in design
Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variabilityFull-text access may be available. Sign in or learn about subscription options.pp. 398-405
Session 5A - Variability in design
Noise margin analysis for dynamic logic circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 406-412
Session 5B - Efficient analog design space exploration techniques
Efficient analog platform characterization through analog constraint graphsFull-text access may be available. Sign in or learn about subscription options.pp. 415-421
Session 5B - Efficient analog design space exploration techniquesFull-text access may be available. Sign in or learn about subscription options.pp. 413
Session 5B - Efficient analog design space exploration techniques
Performance-centering optimization for system-level analog design explorationFull-text access may be available. Sign in or learn about subscription options.pp. 422-429
Session 5B - Efficient analog design space exploration techniques
Hierarchical performance macromodels of feasible regions for synthesis of analog and RF circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 430-436
Session 5C - Dynamic voltage scaling
Battery optimization vs energy optimization: which to choose and when?Full-text access may be available. Sign in or learn about subscription options.pp. 439-445
Session 5C - Dynamic voltage scaling
Dynamic voltage scaling for the schedulability of jitter-constrained real-time embedded systemsFull-text access may be available. Sign in or learn about subscription options.pp. 446-449
Session 5C - Dynamic voltage scalingFull-text access may be available. Sign in or learn about subscription options.pp. 437,438
Session 5C - Dynamic voltage scaling
Optimal integration of inter-task and intra-task dynamic voltage scaling techniques for hard real-time applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 450-455
Session 5C - Dynamic voltage scaling
Compiler-directed voltage scaling on communication links for reducing power consumptionFull-text access may be available. Sign in or learn about subscription options.pp. 456-460
Session 5D - Biochips and DNA-Based nanofabrication
Design automation issues for biofluidic microchipsFull-text access may be available. Sign in or learn about subscription options.pp. 463-470
Session 5D - Biochips and DNA-Based nanofabrication
Design of DNA origamiFull-text access may be available. Sign in or learn about subscription options.pp. 471-478
Session 5D - Biochips and DNA-Based nanofabrication
Kauffman networks: analysis and applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 479-484
Session 6A - Efficient simulation and synthesis methodologies for analog circuits
Parameterized model order reduction of nonlinear dynamical systemsFull-text access may be available. Sign in or learn about subscription options.pp. 487-494
Session 5D - Biochips and DNA-Based nanofabricationFull-text access may be available. Sign in or learn about subscription options.pp. 461,462
Session 6A - Efficient simulation and synthesis methodologies for analog circuits
Fast-yet-accurate PVT simulation by combined direct and iterative methodsFull-text access may be available. Sign in or learn about subscription options.pp. 495-501
Expression of Concern: Robust automated synthesis methodology for integrated spiral inductors with variabilityFull-text access may be available. Sign in or learn about subscription options.pp. 502-507
Session 6B - Technology mapping and timing analysis
Statistical technology mapping for parametric yieldFull-text access may be available. Sign in or learn about subscription options.pp. 511-518
Session 6A - Efficient simulation and synthesis methodologies for analog circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 485,486
Session 6B - Technology mapping and timing analysis
Reducing structural bias in technology mappingFull-text access may be available. Sign in or learn about subscription options.pp. 519-526
Session 6B - Technology mapping and timing analysis
Improving the efficiency of static timing analysis with false pathsFull-text access may be available. Sign in or learn about subscription options.pp. 527-531
Session 6C - Power aware system architecture and software optimizations
Total power-optimal pipelining and parallel processing under process variations in nanometer technologyFull-text access may be available. Sign in or learn about subscription options.pp. 535-540
Session 6C - Power aware system architecture and software optimizations
Serial-link bus: a low-power on-chip bus architectureFull-text access may be available. Sign in or learn about subscription options.pp. 541-546
Session 6B - Technology mapping and timing analysisFreely available from IEEE.pp. 509,510
Session 6C - Power aware system architecture and software optimizations
New decompilation techniques for binary-level co-processor generationFull-text access may be available. Sign in or learn about subscription options.pp. 547-554
Showing 100 out of 195