Default Cover Image

2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD 2010)

Nov. 7 2010 to Nov. 11 2010

San Jose, CA

Table of Contents

[Front matter]Freely available from IEEE.pp. 1-2
Executive CommitteeFreely available from IEEE.pp. 1-5
ForewordFreely available from IEEE.pp. 1-1
AwardsFreely available from IEEE.pp. 1-1
Keynote addressFull-text access may be available. Sign in or learn about subscription options.pp. 1-2
Table of contentsFreely available from IEEE.pp. 1-12
Author indexFreely available from IEEE.pp. 1-10
Fidelity metrics for estimation modelsFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Fast performance evaluation of fixed-point systems with un-smooth operatorsFull-text access may be available. Sign in or learn about subscription options.pp. 9-16
Variation-aware layout-driven scheduling for performance yield optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 17-24
Analysis and optimization of SRAM robustness for double patterning lithographyFull-text access may be available. Sign in or learn about subscription options.pp. 25-31
WISDOM: Wire spreading enhanced decomposition of masks in Double Patterning LithographyFull-text access may be available. Sign in or learn about subscription options.pp. 32-38
Maximum-information storage system: Concept, implementation and applicationFull-text access may be available. Sign in or learn about subscription options.pp. 39-46
On behavioral model equivalence checking for large analog/mixed signal systemsFull-text access may be available. Sign in or learn about subscription options.pp. 55-61
A simple implementation of determinant decision diagramFull-text access may be available. Sign in or learn about subscription options.pp. 70-76
Aging analysis at gate and macro cell levelFull-text access may be available. Sign in or learn about subscription options.pp. 77-84
Resilient microprocessor design for improving performance and energy efficiencyFull-text access may be available. Sign in or learn about subscription options.pp. 85-88
Design-aware mask inspectionFull-text access may be available. Sign in or learn about subscription options.pp. 93-99
SMATO: Simultaneous mask and target optimization for improving lithographic process windowFull-text access may be available. Sign in or learn about subscription options.pp. 100-106
Template-mask design methodology for double patterning technologyFull-text access may be available. Sign in or learn about subscription options.pp. 107-111
Fast and lossless graph division method for layout decomposition using SPQR-treeFull-text access may be available. Sign in or learn about subscription options.pp. 112-115
Design dependent process monitoring for back-end manufacturing cost reductionFull-text access may be available. Sign in or learn about subscription options.pp. 116-122
SETS: Stochastic execution time scheduling for multicore systems by joint state space and Monte CarloFull-text access may be available. Sign in or learn about subscription options.pp. 123-130
Unified theory of real-time task scheduling and dynamic voltage/frequency Scaling on MPSoCsFull-text access may be available. Sign in or learn about subscription options.pp. 139-142
In-place decomposition for robustness in FPGAFull-text access may be available. Sign in or learn about subscription options.pp. 143-148
MVP: Capture-power reduction with minimum-violations partitioning for delay testingFull-text access may be available. Sign in or learn about subscription options.pp. 149-154
A scalable quantitative measure of IR-drop effects for scan pattern generationFull-text access may be available. Sign in or learn about subscription options.pp. 162-167
Trace signal selection to enhance timing and logic visibility in post-silicon validationFull-text access may be available. Sign in or learn about subscription options.pp. 168-172
System-level impact of chip-level failure mechanisms and screensFull-text access may be available. Sign in or learn about subscription options.pp. 173-176
Cross-layer error resilience for robust systemsFull-text access may be available. Sign in or learn about subscription options.pp. 177-180
Reliability, thermal, and power modeling and optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 181-184
Symbolic system level reliability analysisFull-text access may be available. Sign in or learn about subscription options.pp. 185-189
Hierarchical memory scheduling for multimedia MPSoCsFull-text access may be available. Sign in or learn about subscription options.pp. 190-196
Credit Borrow and Repay: Sharing DRAM with minimum latency and bandwidth guaranteesFull-text access may be available. Sign in or learn about subscription options.pp. 197-204
Scheduling of synchronous data flow models on scratchpad memory based embedded processorsFull-text access may be available. Sign in or learn about subscription options.pp. 205-212
The fast optimal voltage partitioning algorithm for peak power density minimizationFull-text access may be available. Sign in or learn about subscription options.pp. 213-217
Post-placement power optimization with multi-bit flip-flopsFull-text access may be available. Sign in or learn about subscription options.pp. 218-223
On power and fault-tolerance optimization in FPGA physical synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 224-229
Yield enhancement for 3D-stacked memory by redundancy sharing across diesFull-text access may be available. Sign in or learn about subscription options.pp. 230-234
Mathematical yield estimation for two-dimensional-redundancy memory arraysFull-text access may be available. Sign in or learn about subscription options.pp. 235-240
Analog test metrics estimates with PPM accuracyFull-text access may be available. Sign in or learn about subscription options.pp. 241-247
Design automation towards reliable analog integrated circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 248-251
Digitalization of mixed-signal functionality in nanometer technologiesFull-text access may be available. Sign in or learn about subscription options.pp. 252-255
Efficient trace-driven metaheuristics for optimization of networks-on-chip configurationsFull-text access may be available. Sign in or learn about subscription options.pp. 256-263
A self-evolving design methodology for power efficient multi-core systemsFull-text access may be available. Sign in or learn about subscription options.pp. 264-268
An energy and power-aware approach to high-level synthesis of asynchronous systemsFull-text access may be available. Sign in or learn about subscription options.pp. 269-276
Clustering-based simultaneous task and voltage scheduling for NoC systemsFull-text access may be available. Sign in or learn about subscription options.pp. 277-283
Generalized nonlinear timing/phase macromodeling: Theory, numerical methods and applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 284-291
Phase equations for quasi-periodic oscillatorsFull-text access may be available. Sign in or learn about subscription options.pp. 292-297
On-the-fly runtime adaptation for efficient execution of parallel multi-algorithm circuit simulationFull-text access may be available. Sign in or learn about subscription options.pp. 298-304
An auction based pre-processing technique to determine detour in global routingFull-text access may be available. Sign in or learn about subscription options.pp. 305-311
Simultaneous antenna avoidance and via optimization in layer assignment of multi-layer global routingFull-text access may be available. Sign in or learn about subscription options.pp. 312-318
GLADE: A modern global router considering layer directivesFull-text access may be available. Sign in or learn about subscription options.pp. 319-323
Transaction level modeling in practice: Motivation and introductionFull-text access may be available. Sign in or learn about subscription options.pp. 324-331
Standards for System Level DesignFull-text access may be available. Sign in or learn about subscription options.pp. 332-335
Design space exploration and performance evaluation at Electronic System Level for NoC-based MPSoCFull-text access may be available. Sign in or learn about subscription options.pp. 336-339
ESL solutions for low power designFull-text access may be available. Sign in or learn about subscription options.pp. 340-343
HW/SW co-design of parallel systemsFull-text access may be available. Sign in or learn about subscription options.pp. 344-348
Application specific processor design: Architectures, design methods and toolsFull-text access may be available. Sign in or learn about subscription options.pp. 349-352
Selective instruction set muting for energy-aware adaptive processorsFull-text access may be available. Sign in or learn about subscription options.pp. 353-360
Memory access aware on-line voltage control for performance and energy optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 365-372
SPIRE: A retiming-based physical-synthesis transformation systemFull-text access may be available. Sign in or learn about subscription options.pp. 373-380
Redundant-wires-aware ECO timing and mask cost optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 381-386
Through-silicon-via management during 3D physical design: When to add and how many?Full-text access may be available. Sign in or learn about subscription options.pp. 387-394
Board driven I/O planning & optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 395-397
Recent research development in PCB layoutFull-text access may be available. Sign in or learn about subscription options.pp. 398-403
Recent research development in flip-chip routingFull-text access may be available. Sign in or learn about subscription options.pp. 404-410
Modeling and design for beyond-the-die power integrityFull-text access may be available. Sign in or learn about subscription options.pp. 411-416
A synthesis flow for digital signal processing with biomolecular reactionsFull-text access may be available. Sign in or learn about subscription options.pp. 417-424
A network-flow based pin-count aware routing algorithm for broadcast electrode-addressing EWOD chipsFull-text access may be available. Sign in or learn about subscription options.pp. 425-431
Variation tolerant sensing scheme of Spin-Transfer Torque Memory for yield improvementFull-text access may be available. Sign in or learn about subscription options.pp. 432-437
Novel binary linear programming for high performance clock mesh synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 438-443
Low-power clock trees for CPUsFull-text access may be available. Sign in or learn about subscription options.pp. 444-451
High variation-tolerant obstacle-avoiding clock mesh synthesis with symmetrical driving treesFull-text access may be available. Sign in or learn about subscription options.pp. 452-457
Cost-effective integration of three-dimensional (3D) ICs emphasizing testing cost analysisFull-text access may be available. Sign in or learn about subscription options.pp. 471-476
Evaluation of using inductive/capacitive-coupling vertical interconnects in 3D network-on-chipFull-text access may be available. Sign in or learn about subscription options.pp. 477-482
Scalable segmentation-based malicious circuitry detection and diagnosisFull-text access may be available. Sign in or learn about subscription options.pp. 483-486
Application-Aware diagnosis of runtime hardware faultsFull-text access may be available. Sign in or learn about subscription options.pp. 487-492
Manufacturing and characteristics of low-voltage organic thin-film transistorsFull-text access may be available. Sign in or learn about subscription options.pp. 493-495
Design of large area electronics with organic transistorsFull-text access may be available. Sign in or learn about subscription options.pp. 500-503
Design of analog circuits using organic field-effect transistorsFull-text access may be available. Sign in or learn about subscription options.pp. 504-507
Active learning framework for post-silicon variation extraction and test cost reductionFull-text access may be available. Sign in or learn about subscription options.pp. 508-515
Analysis of circuit dynamic behavior with timed ternary decision diagramFull-text access may be available. Sign in or learn about subscription options.pp. 516-523
Fast statistical timing analysis of latch-controlled circuits for arbitrary clock periodsFull-text access may be available. Sign in or learn about subscription options.pp. 524-531
On timing-independent false path identificationFull-text access may be available. Sign in or learn about subscription options.pp. 532-535
A hierarchical matrix inversion algorithm for vectorless power grid verificationFull-text access may be available. Sign in or learn about subscription options.pp. 543-550
Fast thermal analysis on GPU for 3D-ICs with integrated microchannel coolingFull-text access may be available. Sign in or learn about subscription options.pp. 551-555
Native-conflict-aware wire perturbation for double patterning technologyFull-text access may be available. Sign in or learn about subscription options.pp. 556-561
A lower bound computation method for evaluation of statistical design techniquesFull-text access may be available. Sign in or learn about subscription options.pp. 562-569
Timing yield optimization via discrete gate sizing using globally-informed delay PDFsFull-text access may be available. Sign in or learn about subscription options.pp. 570-577
Showing 100 out of 140