Default Cover Image

2014 IEEE International Test Conference (ITC)

Oct. 20 2014 to Oct. 23 2014

Seattle, WA, USA

Table of Contents

Title pageFreely available from IEEE.pp. i-i
Copyright pageFreely available from IEEE.pp. ii-ii
Table of contentsFreely available from IEEE.pp. iii-xiv
Welcome messageFreely available from IEEE.pp. 1-2
Steering committeeFreely available from IEEE.pp. 2-4
ITC 2014 Paper Awards [2 awards]Freely available from IEEE.pp. 4-5
Technical Program CommitteeFreely available from IEEE.pp. 5-7
ITC2015 call for papersFreely available from IEEE.pp. 1-1
Plenary keynote address tuesdayFreely available from IEEE.pp. 8-9
Plenary keynote panel WednssdayFreely available from IEEE.pp. 9-10
Plenary keynote address thursdayFreely available from IEEE.pp. 10-11
Process defect trends and strategic test gapsFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
On the testing of hazard activated open defectsFull-text access may be available. Sign in or learn about subscription options.pp. 1-6
Protecting against emerging vmin failures in advanced technology nodesFull-text access may be available. Sign in or learn about subscription options.pp. 1-7
Analog fault models: Back to the future?Full-text access may be available. Sign in or learn about subscription options.pp. 1-1
Practical random sampling of potential defects for analog fault simulationFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Security solutions in the first-generation Zynq All-Programmable SoCFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Delivering security by design in the Internet of ThingsFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Energy-secure computer architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Dynamic microgrids - A potential solution for enhanced resiliency in distribution systemsFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Microgrids as a resiliency resourceFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Recruiting distributed resources for grid resilience: The need for transparencyFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Concerns over predictability of supply and qualityFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
The desire-friction ratio of Adaptive testFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Collaboration and teamwork obstaclesFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
ATE and test equipment vendors; Hardware not softwareFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Efficient testing of hierarchical core-based SOCsFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Isometric test compression with low toggling activityFull-text access may be available. Sign in or learn about subscription options.pp. 1-7
Achieving extreme scan compression for SoC DesignsFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Mitigating voltage droop during scan with variable shift frequencyFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
At-speed capture power reduction using layout-aware granular clock gate enable controlsFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Fast BIST of I/O Pin AC specifications and inter-chip delaysFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Challenges of testing 100M chipsFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Low-cost phase noise testing of complex RF ICs using standard digital ATEFull-text access may be available. Sign in or learn about subscription options.pp. 1-9
Market opportunities and testing challenges for millimeter-wave radios and radarsFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Low-distortion signal generation for ADC testingFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
A built-in self-test circuit for jitter tolerance measurement in high-speed wireline receiversFull-text access may be available. Sign in or learn about subscription options.pp. 1-6
Teaching an old dog new tricks: Views on the future of mixed-signal IC designFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Top ten challenges in Big Data security and privacyFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Compositional verification using formal analysis for a flight critical systemFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Design, technology and yield in the post-moore eraFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
The importance of DFX, a foundry perspectiveFull-text access may be available. Sign in or learn about subscription options.pp. 1-6
Yield and performance improvement through technology-design co-optimization in advanced technology nodesFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Managing signal, power and thermal integrity for 3D integrationFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Wafer Level Chip Scale Package copper pillar probingFull-text access may be available. Sign in or learn about subscription options.pp. 1-6
A tale of two lives: Under test and in the wildFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Efficient RAS support for die-stacked DRAMFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Systematic approach for trim test time optimization: Case study on a multi-core RF SOCFull-text access may be available. Sign in or learn about subscription options.pp. 1-9
Thermal-aware mobile SoC design and test in 14nm finfet technologyFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Robustness of TAP-based scan networksFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Design, test & repair methodology for FinFET-based memoriesFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
A Tag based solution for efficient utilization of efuse for memory repairFull-text access may be available. Sign in or learn about subscription options.pp. 1-7
Yield optimization using advanced statistical correlation methodsFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Big data and testFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Design and test of analog circuits towards sub-ppm levelFull-text access may be available. Sign in or learn about subscription options.pp. 1-2
Vesuvius-3D: A 3D-DfT demonstratorFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICsFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Interposer test: Testing PCBs that have shrunk 100xFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Knowledge discovery and knowledge transfer in board-level functional fault diagnosisFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Board manufacturing test correlation to IC manufacturing testFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
On-chip constrained random stimuli generation for post-silicon validation using compact masksFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Emulation and its connection to testFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
Clustering-based failure triage for RTL regression debuggingFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Analytical MRAM testFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Read disturb fault detection in STT-MRAMFull-text access may be available. Sign in or learn about subscription options.pp. 1-7
Intra-die process variation aware anomaly detection in FPGAsFull-text access may be available. Sign in or learn about subscription options.pp. 1-6
Feature engineering with canonical analysis for effective statistical tests screening test escapesFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Logic characterization vehicle design for maximal information extraction for yield learningFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
The case for analyzing system level failures using structural patternsFull-text access may be available. Sign in or learn about subscription options.pp. 1-1
EAGLE: A regression model for fault coverage estimation using a simulation based metricFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Fault sharing in a copy-on-write based ATPG systemFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Efficient SAT-based ATPG techniques for all multiple stuck-at faultsFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Testing silicon TV tuners on ATE without TV signal generatorFull-text access may be available. Sign in or learn about subscription options.pp. 1-9
A self-tuning architecture for buck converters based on alternative testFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Fast co-test of linearity and spectral performance with non-coherent sampled and amplitude clipped dataFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Board security enhancement using new locking SIB-based architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Counterfeit IC detection using light emissionFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Test-mode-only scan attack and countermeasure for contemporary scan architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 1-8
Improving test compression with scan feedforward techniquesFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
A diagnosis-friendly LBIST architecture with property checkingFull-text access may be available. Sign in or learn about subscription options.pp. 1-9
An efficient diagnosis-aware pattern generation procedure for transition faultsFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Massive signal tracing using on-chip DRAM for in-system silicon debugFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Error prediction and detection methodologies for reliable circuit operation under NBTIFull-text access may be available. Sign in or learn about subscription options.pp. 1-10
Showing 100 out of 104