Default Cover Image

IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06)

March 2 2006 to March 3 2006

Karlsruhe

Table of Contents

Message from the General and Program ChairsFreely available from IEEE.pp. xiii-xiii
Introduction
Symposium committeesFreely available from IEEE.pp. xiv-xiv
Keynotes
Multiprocessor Systems-on-ChipsFreely available from IEEE.pp. 4-4
Intellectual Property and Design
Floorplanning based on particle swarm optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.-5 pp.
Intellectual Property and Design
Enhanced dual strategy based VLSI architecture for computing pseudo inverse of channel matrix in a MIMO wireless systemFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Intellectual Property and Design
Adaptive porting of analog IPs with reusable conservative propertiesFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Intellectual Property and Design
VLSI design exchange with intellectual property protection in FPGA environment using both secret and public-key cryptographyFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Physical Design
Metal fix and power network repair for SOCFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.
Physical Design
Multi-SP: a representation with united rectangles for analog placement and routingFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Physical Design
Formulating the empirical strategies in module generation of analog MOS layoutFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Physical Design
An integer linear programming based approach to simultaneous memory space partitioning and data allocation for chip multiprocessorsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.-6 pp.
High Performance Circuits
High speed low swing dynamic circuits with multiple supply and threshold voltagesFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
High Performance Circuits
High performance service-time-stamp computation for WFQ IP packet schedulingFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
High Performance Circuits
Synthesis of pipelined SRSL circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.-6 pp.
High Performance Circuits
An efficient hardware implementation of a self-adaptable equalizer for WCDMA downlink UMTS standardFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.-5 pp.
Reconfigurable Systems Integration
Defect-aware design paradigm for reconfigurable architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable Systems Integration
New 2-dimensional partial dynamic reconfiguration techniques for real-time adaptive microelectronic circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable Systems Integration
A "soft++" eFPGA physical design approach with case studies in 180nm and 90nmFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable Systems Integration
QUKU: a two-level reconfigurable architectureFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
A low power pipelined maximum likelihood detector for 4/spl times/4 QPSK MIMO wireless communication systemsFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.-5 pp.
Mixed-Signal Design and Analysis
Space-saving layout for passive componentsFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.
Mixed-Signal Design and Analysis
A novel low power multilevel current mode interconnect systemFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Globally asynchronous locally synchronous wrapper circuit based on clock gatingFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Mixed-Signal Design and Analysis
The design of analog front-end circuitry for 1x HD-DVD PRML read channelFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.
Mixed-Signal Design and Analysis
Adaptive signal processing in mixed-signal VLSI with anti-Hebbian learningFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Test and Verification
Verification of scheduling in high-level synthesisFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Test and Verification
An efficient wrapper scan chain configuration method for network-on-chip testingFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Test and Verification
An efficient data-independent technique for compressing test vectors in systems-on-a-chipFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Test and Verification
Methods for run-time failure recognition and recovery in dynamic and partial reconfigurable systems based on Xilinx Virtex-II Pro FPGAsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Low Power System Design
Design and analysis of a low power VLIW DSP coreFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Low Power System Design
High-performance noise-robust asynchronous circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Low Power System Design
A low power lookup technique for multi-hashing network applicationsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Low Power System Design
A Low Power Pipelined Maximum Likelihood Detector for 4x4 QPSK MIMO Wireless Communication SystemsFull-text access may be available. Sign in or learn about subscription options.pp. 185-192
System-on-Chip
Optimal periodical memory allocation for logic-in-memory image processorsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
New nonvolatile FPGA concept using magnetic tunneling junctionFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
System-on-Chip
Connection-oriented multicasting in wormhole-switched networks on chipFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
System-on-Chip
A virtual channel network-on-chip for GT and BE trafficFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.-6 pp.
System-on-Chip
Delay-insensitive on-chip communication link using low-swing simultaneous bidirectional signalingFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Nano Electronics
Nanowire addressing in the face of uncertaintyFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Nano Electronics
Si nanocrystal MOSFET with silicon nitride tunnel insulator for high-rate random number generationFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Nano Electronics
Finite state machine implementation with single-electron tunneling technologyFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.
Nano Electronics
PLAs in quantum-dot cellular automataFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable System Design and Technologies
Dynamic hardware multiplexing: improving adaptability with a run time reconfiguration managerFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable System Design and Technologies
Regular routing architecture for a LUT-based MPGAFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable System Design and Technologies
A new multilevel hierarchical MFPGA and its suitable configuration toolsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Ultra-low energy computing with noise: Energy performance probabilityFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Reconfigurable System Design and Technologies
New non-volatile FPGA concept using Magnetic Tunneling JunctionFull-text access may be available. Sign in or learn about subscription options.pp. 269-276
Complexity and System Organization
Profile directed instruction cache tuning for embedded systemsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Complexity and System Organization
Complexity and low power issues for on-chip interconnections in MPSoC system level designFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Complexity and System Organization
Fast configuration of an energy-efficient branch predictorFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Complexity and System Organization
Exploiting software pipelining for network-on-chip architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
System Level and Circuit Analysis
An efficient algorithm for the analysis of cyclic circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Performance and power analysis of globally asynchronous locally synchronous multiprocessor systemsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.-6 pp.
System Level and Circuit Analysis
Improving system level design space exploration by incorporating SAT-solvers into multi-objective evolutionary algorithmsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
System Level Design
Optimisation of the SHA-2 family of hash functions on FPGAsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
System Level Design
A novel approach to performance-oriented datapath allocation and floorplanningFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
System Level Design
CHESS: a comprehensive tool for CDFG extraction and synthesis of low power designs from VHDLFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
A low-power 2D bypassing multiplier using 0.35 μm CMOS technologyFull-text access may be available. Sign in or learn about subscription options.pp. 4 pp.
System Level Design
System exploration of SystemC designsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Power Aware VLSI Design
Reliability-aware SOC voltage islands partition and floorplanFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Power Aware VLSI Design
Ultra-Low Energy Computing with Noise: Energy-Performance-Probability Trade-offsFull-text access may be available. Sign in or learn about subscription options.pp. 349-354
Power Aware VLSI Design
Delay and energy efficient data transmission for on-chip busesFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
Power Aware VLSI Design
Power-oriented delay budgeting for combinational circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 4 pp.
VLSI Circuits and Optimization
Routing-tree construction with concurrent performance, power and congestion optimizationFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
VLSI Circuits and Optimization
Clock gated static pulsed flip-flop (CGSPFF) in sub 100 nm technologyFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.
VLSI Circuits and Optimization
Performance and Power Analysis of Globally Asynchronous Locally Synchronous Multi-Processor SystemsFull-text access may be available. Sign in or learn about subscription options.pp. 378-383
VLSI Circuits and Optimization
Implementing register files for high-performance microprocessors in a die-stacked (3D) technologyFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
VLSI Circuits and Technologies
Leakage-aware SPM managementFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.-6 pp.
VLSI Circuits and Technologies
Dependability analysis of nano-scale FinFET circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 6 pp.
VLSI Circuits and Technologies
A Low-Power 2-Dimensional Bypassing Multiplier Using 0.35 um CMOS TechnologyFull-text access may be available. Sign in or learn about subscription options.pp. 405-410
Poster Papers
Multi-level buffer block planning and buffer insertion for large design circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 5 pp.
Poster Papers
Towards a faster simulation of SystemC designsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.-2 pp.
Poster Papers
An optimized BIST architecture for FPGA look-up table testingFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Variation aware placement for FPGAsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
A regular layout approach for ASICsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Evaluating the impact of data encoding techniques on the power consumption in networks-on-chipFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Dual-mode high-speed low-energy binary additionFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
A flexible architecture for block turbo decoders using BCH or Reed-Solomon components codesFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Transparent management of reconfigurable hardware in embedded operating systemsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
An open-source tool for simulation of partially reconfigurable systems using SystemCFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.-2 pp.
Poster Papers
Partial and dynamic reconfiguration of FPGAs: a top down design methodology for an automatic implementationFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Self-timed thermally-aware circuitsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
A new protocol stack model for network on chipFull-text access may be available. Sign in or learn about subscription options.pp. 3 pp.
Poster Papers
A robust synchronizerFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Low power layered space-time channel detector architecture for MIMO systemsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Sensor-driven power management: enhancing performance and reliability of autonomously powered systemsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Reducing memory requirements through task recomputation in embedded multi-CPU systemsFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Compiler-directed management of leakage power in software-managed memoriesFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.-2 pp.
Poster Papers
A parallel architecture for hardware face detectionFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
A VLSI GFP frame delineation circuitFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Poster Papers
Effects of parameter variations and crosstalk noise on H-tree clock distribution networksFull-text access may be available. Sign in or learn about subscription options.pp. 2 pp.
Author Index
Author indexFreely available from IEEE.pp. 459-459
Showing 99 out of 99