Default Cover Image

Proceedings of MICRO'95: 28th Annual IEEE/ACM International Symposium on Microarchitecture

Nov. 29 1995 to Dec. 1 1995

Ann Arbor, MI, USA

Table of Contents

[Front matter]Freely available from IEEE.pp. 3-14
Keynote addressFreely available from IEEE.pp. 1-2
Performance issues in correlated branch prediction schemesFull-text access may be available. Sign in or learn about subscription options.pp. 3-14
Dynamic path-based branch correlationFull-text access may be available. Sign in or learn about subscription options.pp. 15-23
The predictability of branches in librariesFull-text access may be available. Sign in or learn about subscription options.pp. 24-34
The performance impact of incomplete bypassing in processor pipelinesFull-text access may be available. Sign in or learn about subscription options.pp. 36-45
Efficient instruction scheduling using finite state automataFull-text access may be available. Sign in or learn about subscription options.pp. 46-56
Critical path reduction for scalar programsFull-text access may be available. Sign in or learn about subscription options.pp. 57-69
A limit study of local memory requirements using value reuse profilesFull-text access may be available. Sign in or learn about subscription options.pp. 71-81
Zero-cycle loads: microarchitecture support for reducing load latencyFull-text access may be available. Sign in or learn about subscription options.pp. 82-92
A modified approach to data cache managementFull-text access may be available. Sign in or learn about subscription options.pp. 93-103
Petri net versus module scheduling for software pipeliningFull-text access may be available. Sign in or learn about subscription options.pp. 105-110
Modulo scheduling with multiple initiation intervalsFull-text access may be available. Sign in or learn about subscription options.pp. 111-118
Spill-free parallel scheduling of basic blocksFull-text access may be available. Sign in or learn about subscription options.pp. 119-124
Improving instruction-level parallelism by loop unrolling and dynamic memory disambiguationFull-text access may be available. Sign in or learn about subscription options.pp. 125-132
Self-regulation of workload in the Manchester Data-Flow ComputerFull-text access may be available. Sign in or learn about subscription options.pp. 135-145
The M-Machine multicomputerFull-text access may be available. Sign in or learn about subscription options.pp. 146-156
Region-based compilation: an introduction and motivationFull-text access may be available. Sign in or learn about subscription options.pp. 158-168
An experimental study of several cooperative register allocation and instruction scheduling strategiesFull-text access may be available. Sign in or learn about subscription options.pp. 169-179
Register allocation for predicated codeFull-text access may be available. Sign in or learn about subscription options.pp. 180-191
Partial resolution in branch target buffersFull-text access may be available. Sign in or learn about subscription options.pp. 193-198
A system level perspective on branch architecture performanceFull-text access may be available. Sign in or learn about subscription options.pp. 199-206
Dynamic rescheduling: a technique for object code compatibility in VLIW architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 208-218
Improving CISC instruction decoding performance using a fill unitFull-text access may be available. Sign in or learn about subscription options.pp. 219-229
SPAID: software prefetching in pointer- and call-intensive environmentsFull-text access may be available. Sign in or learn about subscription options.pp. 231-236
An effective programmable prefetch engine for on-chip cachesFull-text access may be available. Sign in or learn about subscription options.pp. 237-242
Cache miss heuristics and preloading techniques for general-purpose programsFull-text access may be available. Sign in or learn about subscription options.pp. 243-248
Alternative implementations of hybrid branch predictorsFull-text access may be available. Sign in or learn about subscription options.pp. 252-257
Control flow prediction with tree-like subgraphs for superscalar processorsFull-text access may be available. Sign in or learn about subscription options.pp. 258-263
The role of adaptivity in two-level adaptive branch predictionFull-text access may be available. Sign in or learn about subscription options.pp. 264-269
Design of storage hierarchy in multithreaded architecturesFull-text access may be available. Sign in or learn about subscription options.pp. 271-278
An investigation of the performance of various instruction-issue buffer topologiesFull-text access may be available. Sign in or learn about subscription options.pp. 279-284
Decoupling integer execution in superscalar processorsFull-text access may be available. Sign in or learn about subscription options.pp. 285-290
Exploiting short-lived variables in superscalar processorsFull-text access may be available. Sign in or learn about subscription options.pp. 292-302
Partitioned register file for TTAsFull-text access may be available. Sign in or learn about subscription options.pp. 303-312
Disjoint eager execution: an optimal form of speculative executionFull-text access may be available. Sign in or learn about subscription options.pp. 313-325
Unrolling-based optimizations for modulo schedulingFull-text access may be available. Sign in or learn about subscription options.pp. 327-337
Stage scheduling: a technique to reduce the register requirements of a module scheduleFull-text access may be available. Sign in or learn about subscription options.pp. 338-349
Hypernode reduction modulo schedulingFull-text access may be available. Sign in or learn about subscription options.pp. 350-360
Showing 39 out of 39