Join Us
Sign In
My Subscriptions
Magazines
Journals
Video Library
Conference Proceedings
Individual CSDL Subscriptions
Institutional CSDL Subscriptions
Resources
Career Center
Tech News
Resource Center
Press Room
Advertising
Librarian Resources
IEEE.org
Help
About Us
Career Center
Cart
Create Account
Sign In
Toggle navigation
My Subscriptions
Browse Content
Resources
All
Home
Proceedings
MICRO
MICRO 1995
Generate Citations
Proceedings of MICRO'95: 28th Annual IEEE/ACM International Symposium on Microarchitecture
Nov. 29 1995 to Dec. 1 1995
Ann Arbor, MI, USA
Table of Contents
[Front matter]
Freely available from IEEE.
pp. 3-14
Keynote address
Freely available from IEEE.
pp. 1-2
by
R.I. Baum
Performance issues in correlated branch prediction schemes
Full-text access may be available. Sign in or learn about subscription options.
pp. 3-14
by
N. Gloy
,
M.D. Smith
,
C. Young
Dynamic path-based branch correlation
Full-text access may be available. Sign in or learn about subscription options.
pp. 15-23
by
R. Nair
The predictability of branches in libraries
Full-text access may be available. Sign in or learn about subscription options.
pp. 24-34
by
B. Calder
,
D. Grunwald
,
A. Srivastava
The performance impact of incomplete bypassing in processor pipelines
Full-text access may be available. Sign in or learn about subscription options.
pp. 36-45
by
P.S. Ahuja
,
D.W. Clark
,
A. Rogers
Efficient instruction scheduling using finite state automata
Full-text access may be available. Sign in or learn about subscription options.
pp. 46-56
by
V. Bala
,
N. Rubin
Critical path reduction for scalar programs
Full-text access may be available. Sign in or learn about subscription options.
pp. 57-69
by
M. Schlansker
,
V. Kathail
A limit study of local memory requirements using value reuse profiles
Full-text access may be available. Sign in or learn about subscription options.
pp. 71-81
by
A.S. Huang
,
J.P. Shen
Zero-cycle loads: microarchitecture support for reducing load latency
Full-text access may be available. Sign in or learn about subscription options.
pp. 82-92
by
T.M. Austin
,
G.S. Sohi
A modified approach to data cache management
Full-text access may be available. Sign in or learn about subscription options.
pp. 93-103
by
G. Tyson
,
M. Farrens
,
J. Matthews
,
A.R. Pleszkun
Petri net versus module scheduling for software pipelining
Full-text access may be available. Sign in or learn about subscription options.
pp. 105-110
by
V.H. Allan
,
U.R. Shah
,
K.M. Reddy
Modulo scheduling with multiple initiation intervals
Full-text access may be available. Sign in or learn about subscription options.
pp. 111-118
by
N.J. Warter-Perez
,
N. Partamian
Spill-free parallel scheduling of basic blocks
Full-text access may be available. Sign in or learn about subscription options.
pp. 119-124
by
B. Natarajan
,
M. Schlansker
Improving instruction-level parallelism by loop unrolling and dynamic memory disambiguation
Full-text access may be available. Sign in or learn about subscription options.
pp. 125-132
by
J.W. Davidson
,
S. Jinturkar
Self-regulation of workload in the Manchester Data-Flow Computer
Full-text access may be available. Sign in or learn about subscription options.
pp. 135-145
by
J.R. Gurd
,
D.F. Snelling
The M-Machine multicomputer
Full-text access may be available. Sign in or learn about subscription options.
pp. 146-156
by
M. Fillo
,
S.W. Keckler
,
W.J. Dally
,
N.P. Carter
,
A. Chang
,
Y. Gurevich
,
W.S. Lee
Region-based compilation: an introduction and motivation
Full-text access may be available. Sign in or learn about subscription options.
pp. 158-168
by
R.E. Hank
,
W.W. Hwu
,
B.R. Rau
An experimental study of several cooperative register allocation and instruction scheduling strategies
Full-text access may be available. Sign in or learn about subscription options.
pp. 169-179
by
C. Norris
,
L.L. Pollock
Register allocation for predicated code
Full-text access may be available. Sign in or learn about subscription options.
pp. 180-191
by
A.E. Eichenberger
,
E.S. Davidson
Partial resolution in branch target buffers
Full-text access may be available. Sign in or learn about subscription options.
pp. 193-198
by
B. Fagin
,
K. Russell
A system level perspective on branch architecture performance
Full-text access may be available. Sign in or learn about subscription options.
pp. 199-206
by
B. Calder
,
D. Grunwald
,
J. Emer
Dynamic rescheduling: a technique for object code compatibility in VLIW architectures
Full-text access may be available. Sign in or learn about subscription options.
pp. 208-218
by
T.M. Conte
,
S.W. Sathaye
Improving CISC instruction decoding performance using a fill unit
Full-text access may be available. Sign in or learn about subscription options.
pp. 219-229
by
M. Smotherman
,
M. Franklin
SPAID: software prefetching in pointer- and call-intensive environments
Full-text access may be available. Sign in or learn about subscription options.
pp. 231-236
by
M.H. Lipasti
,
W.J. Schmidt
,
S.R. Kunkel
,
R.R. Roediger
An effective programmable prefetch engine for on-chip caches
Full-text access may be available. Sign in or learn about subscription options.
pp. 237-242
by
Tien-Fu Chen
Cache miss heuristics and preloading techniques for general-purpose programs
Full-text access may be available. Sign in or learn about subscription options.
pp. 243-248
by
T. Ozawa
,
Y. Kimura
,
S. Nishizaki
Alternative implementations of hybrid branch predictors
Full-text access may be available. Sign in or learn about subscription options.
pp. 252-257
by
Po-Yung Chang
,
E. Hao
,
Y.N. Patt
Control flow prediction with tree-like subgraphs for superscalar processors
Full-text access may be available. Sign in or learn about subscription options.
pp. 258-263
by
S. Dutta
,
M. Franklin
The role of adaptivity in two-level adaptive branch prediction
Full-text access may be available. Sign in or learn about subscription options.
pp. 264-269
by
S. Sechrest
,
Chih-Chieh Lee
,
T. Mudge
Design of storage hierarchy in multithreaded architectures
Full-text access may be available. Sign in or learn about subscription options.
pp. 271-278
by
L. Roh
,
W.A. Najjar
An investigation of the performance of various instruction-issue buffer topologies
Full-text access may be available. Sign in or learn about subscription options.
pp. 279-284
by
S. Jourdan
,
P. Sainrat
,
D. Litaize
Decoupling integer execution in superscalar processors
Full-text access may be available. Sign in or learn about subscription options.
pp. 285-290
by
S. Palacharla
,
J.E. Smith
Exploiting short-lived variables in superscalar processors
Full-text access may be available. Sign in or learn about subscription options.
pp. 292-302
by
L.A. Lozano
,
G.R. Gao
Partitioned register file for TTAs
Full-text access may be available. Sign in or learn about subscription options.
pp. 303-312
by
J. Janssen
,
H. Corporaal
Disjoint eager execution: an optimal form of speculative execution
Full-text access may be available. Sign in or learn about subscription options.
pp. 313-325
by
A.K. Uht
,
V. Sindagi
,
K. Hall
Unrolling-based optimizations for modulo scheduling
Full-text access may be available. Sign in or learn about subscription options.
pp. 327-337
by
D.M. Lavery
,
W.-W. Hwu
Stage scheduling: a technique to reduce the register requirements of a module schedule
Full-text access may be available. Sign in or learn about subscription options.
pp. 338-349
by
A.E. Eichenberger
,
E.S. Davidson
Hypernode reduction modulo scheduling
Full-text access may be available. Sign in or learn about subscription options.
pp. 350-360
by
J. Llosa
,
M. Valero
,
E. Ayguade
,
A. Gonzalez
Showing 39 out of 39